Commit 73878a95 authored by Tristan Gingold's avatar Tristan Gingold

ddr3_ctrl_wb: save the last valid value of we.

parent adaeb417
......@@ -183,7 +183,10 @@ begin
else
wb_cyc_d <= wb_cyc_i;
wb_stb_d <= wb_stb_valid;
wb_we_d <= wb_we_i;
if wb_stb_valid = '1' then
-- Save the last value of we when valid.
wb_we_d <= wb_we_i;
end if;
end if;
end if;
end process p_wb_cyc_f_edge;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment