Commit bb84018b authored by Matthieu Cattin's avatar Matthieu Cattin

hdl: Change utc core name into timetag core.

parent 2d06837c
files = [ files = [
"spec_top_fmc_adc_100Ms.vhd", "spec_top_fmc_adc_100Ms.vhd",
"carrier_csr.vhd", "carrier_csr.vhd",
"utc_core_regs.vhd", "timetag_core_regs.vhd",
"utc_core.vhd", "timetag_core.vhd",
"irq_controller_regs.vhd", "irq_controller_regs.vhd",
"irq_controller.vhd", "irq_controller.vhd",
"sdb_meta_pkg.vhd"]; "sdb_meta_pkg.vhd"];
......
...@@ -197,7 +197,7 @@ architecture rtl of spec_top_fmc_adc_100Ms is ...@@ -197,7 +197,7 @@ architecture rtl of spec_top_fmc_adc_100Ms is
); );
end component carrier_csr; end component carrier_csr;
component utc_core component timetag_core
port ( port (
clk_i : in std_logic; clk_i : in std_logic;
rst_n_i : in std_logic; rst_n_i : in std_logic;
...@@ -214,7 +214,7 @@ architecture rtl of spec_top_fmc_adc_100Ms is ...@@ -214,7 +214,7 @@ architecture rtl of spec_top_fmc_adc_100Ms is
wb_we_i : in std_logic; wb_we_i : in std_logic;
wb_ack_o : out std_logic wb_ack_o : out std_logic
); );
end component utc_core; end component timetag_core;
component irq_controller component irq_controller
port ( port (
...@@ -253,7 +253,7 @@ architecture rtl of spec_top_fmc_adc_100Ms is ...@@ -253,7 +253,7 @@ architecture rtl of spec_top_fmc_adc_100Ms is
constant c_SLAVE_DMA : integer := 0; -- DMA controller in the Gennum core constant c_SLAVE_DMA : integer := 0; -- DMA controller in the Gennum core
constant c_SLAVE_ONEWIRE : integer := 1; -- Carrier onewire interface constant c_SLAVE_ONEWIRE : integer := 1; -- Carrier onewire interface
constant c_SLAVE_SPEC_CSR : integer := 2; -- SPEC control and status registers constant c_SLAVE_SPEC_CSR : integer := 2; -- SPEC control and status registers
constant c_SLAVE_UTC : integer := 3; -- UTC core for time-tagging constant c_SLAVE_TIMETAG : integer := 3; -- TIMETAG core for time-tagging
constant c_SLAVE_INT : integer := 4; -- Interrupt controller constant c_SLAVE_INT : integer := 4; -- Interrupt controller
constant c_SLAVE_FMC_SYS_I2C : integer := 5; -- Mezzanine system I2C interface (EEPROM) constant c_SLAVE_FMC_SYS_I2C : integer := 5; -- Mezzanine system I2C interface (EEPROM)
constant c_SLAVE_FMC_SPI : integer := 6; -- Mezzanine SPI interface constant c_SLAVE_FMC_SPI : integer := 6; -- Mezzanine SPI interface
...@@ -311,7 +311,7 @@ architecture rtl of spec_top_fmc_adc_100Ms is ...@@ -311,7 +311,7 @@ architecture rtl of spec_top_fmc_adc_100Ms is
date => x"20121116", date => x"20121116",
name => "WB-SPEC-CSR "))); name => "WB-SPEC-CSR ")));
constant c_UTC_SDB_DEVICE : t_sdb_device := ( constant c_TIMETAG_SDB_DEVICE : t_sdb_device := (
abi_class => x"0000", -- undocumented device abi_class => x"0000", -- undocumented device
abi_ver_major => x"01", abi_ver_major => x"01",
abi_ver_minor => x"01", abi_ver_minor => x"01",
...@@ -325,7 +325,7 @@ architecture rtl of spec_top_fmc_adc_100Ms is ...@@ -325,7 +325,7 @@ architecture rtl of spec_top_fmc_adc_100Ms is
device_id => x"00000604", device_id => x"00000604",
version => x"00000001", version => x"00000001",
date => x"20121116", date => x"20121116",
name => "WB-UTC-Core "))); name => "WB-Timetag-Core ")));
constant c_INT_SDB_DEVICE : t_sdb_device := ( constant c_INT_SDB_DEVICE : t_sdb_device := (
abi_class => x"0000", -- undocumented device abi_class => x"0000", -- undocumented device
...@@ -400,7 +400,7 @@ architecture rtl of spec_top_fmc_adc_100Ms is ...@@ -400,7 +400,7 @@ architecture rtl of spec_top_fmc_adc_100Ms is
0 => f_sdb_embed_device(c_DMA_SDB_DEVICE, x"00001000"), 0 => f_sdb_embed_device(c_DMA_SDB_DEVICE, x"00001000"),
1 => f_sdb_embed_device(c_ONEWIRE_SDB_DEVICE, x"00001200"), 1 => f_sdb_embed_device(c_ONEWIRE_SDB_DEVICE, x"00001200"),
2 => f_sdb_embed_device(c_SPEC_CSR_SDB_DEVICE, x"00001300"), 2 => f_sdb_embed_device(c_SPEC_CSR_SDB_DEVICE, x"00001300"),
3 => f_sdb_embed_device(c_UTC_SDB_DEVICE, x"00001400"), 3 => f_sdb_embed_device(c_TIMETAG_SDB_DEVICE, x"00001400"),
4 => f_sdb_embed_device(c_INT_SDB_DEVICE, x"00001500"), 4 => f_sdb_embed_device(c_INT_SDB_DEVICE, x"00001500"),
5 => f_sdb_embed_device(c_I2C_SDB_DEVICE, x"00001600"), 5 => f_sdb_embed_device(c_I2C_SDB_DEVICE, x"00001600"),
6 => f_sdb_embed_device(c_SPI_SDB_DEVICE, x"00001700"), 6 => f_sdb_embed_device(c_SPI_SDB_DEVICE, x"00001700"),
...@@ -540,7 +540,7 @@ architecture rtl of spec_top_fmc_adc_100Ms is ...@@ -540,7 +540,7 @@ architecture rtl of spec_top_fmc_adc_100Ms is
signal carrier_owr_en : std_logic_vector(0 downto 0); signal carrier_owr_en : std_logic_vector(0 downto 0);
signal carrier_owr_i : std_logic_vector(0 downto 0); signal carrier_owr_i : std_logic_vector(0 downto 0);
-- UTC core -- Time-tagging core
signal trigger_p : std_logic; signal trigger_p : std_logic;
signal acq_start_p : std_logic; signal acq_start_p : std_logic;
signal acq_stop_p : std_logic; signal acq_stop_p : std_logic;
...@@ -818,9 +818,9 @@ begin ...@@ -818,9 +818,9 @@ begin
led_green_o <= led_green; led_green_o <= led_green;
------------------------------------------------------------------------------ ------------------------------------------------------------------------------
-- UTC core -- Time-tagging core
------------------------------------------------------------------------------ ------------------------------------------------------------------------------
cmp_utc_core : utc_core cmp_timetag_core : timetag_core
port map( port map(
clk_i => sys_clk_125, clk_i => sys_clk_125,
rst_n_i => sys_rst_n, rst_n_i => sys_rst_n,
...@@ -830,21 +830,21 @@ begin ...@@ -830,21 +830,21 @@ begin
acq_stop_p_i => acq_stop_p, acq_stop_p_i => acq_stop_p,
acq_end_p_i => acq_end_p, acq_end_p_i => acq_end_p,
wb_adr_i => cnx_master_out(c_SLAVE_UTC).adr(6 downto 2), -- cnx_master_out.adr is byte address wb_adr_i => cnx_master_out(c_SLAVE_TIMETAG).adr(6 downto 2), -- cnx_master_out.adr is byte address
wb_dat_i => cnx_master_out(c_SLAVE_UTC).dat, wb_dat_i => cnx_master_out(c_SLAVE_TIMETAG).dat,
wb_dat_o => cnx_master_in(c_SLAVE_UTC).dat, wb_dat_o => cnx_master_in(c_SLAVE_TIMETAG).dat,
wb_cyc_i => cnx_master_out(c_SLAVE_UTC).cyc, wb_cyc_i => cnx_master_out(c_SLAVE_TIMETAG).cyc,
wb_sel_i => cnx_master_out(c_SLAVE_UTC).sel, wb_sel_i => cnx_master_out(c_SLAVE_TIMETAG).sel,
wb_stb_i => cnx_master_out(c_SLAVE_UTC).stb, wb_stb_i => cnx_master_out(c_SLAVE_TIMETAG).stb,
wb_we_i => cnx_master_out(c_SLAVE_UTC).we, wb_we_i => cnx_master_out(c_SLAVE_TIMETAG).we,
wb_ack_o => cnx_master_in(c_SLAVE_UTC).ack wb_ack_o => cnx_master_in(c_SLAVE_TIMETAG).ack
); );
-- Unused wishbone signals -- Unused wishbone signals
cnx_master_in(c_SLAVE_UTC).err <= '0'; cnx_master_in(c_SLAVE_TIMETAG).err <= '0';
cnx_master_in(c_SLAVE_UTC).rty <= '0'; cnx_master_in(c_SLAVE_TIMETAG).rty <= '0';
cnx_master_in(c_SLAVE_UTC).stall <= '0'; cnx_master_in(c_SLAVE_TIMETAG).stall <= '0';
cnx_master_in(c_SLAVE_UTC).int <= '0'; cnx_master_in(c_SLAVE_TIMETAG).int <= '0';
------------------------------------------------------------------------------ ------------------------------------------------------------------------------
-- Interrupt controller -- Interrupt controller
......
...@@ -6,7 +6,7 @@ carrier_csr: ...@@ -6,7 +6,7 @@ carrier_csr:
$(WBGEN2) -l vhdl -V $(RTL)$@.vhd -f html -D $@.htm -C $@.h $@.wb $(WBGEN2) -l vhdl -V $(RTL)$@.vhd -f html -D $@.htm -C $@.h $@.wb
$(WBGEN2) -f texinfo -D $(TEX)$@.tex $@.wb $(WBGEN2) -f texinfo -D $(TEX)$@.tex $@.wb
utc_core_regs: timetag_core_regs:
$(WBGEN2) -l vhdl -V $(RTL)$@.vhd -f html -D $@.htm -C $@.h $@.wb $(WBGEN2) -l vhdl -V $(RTL)$@.vhd -f html -D $@.htm -C $@.h $@.wb
$(WBGEN2) -f texinfo -D $(TEX)$@.tex $@.wb $(WBGEN2) -f texinfo -D $(TEX)$@.tex $@.wb
......
/* /*
Register definitions for slave core: UTC core registers Register definitions for slave core: Time-tagging core registers
* File : utc_core_regs.h * File : timetag_core_regs.h
* Author : auto-generated by wbgen2 from utc_core_regs.wb * Author : auto-generated by wbgen2 from timetag_core_regs.wb
* Created : Tue Apr 9 18:41:27 2013 * Created : Fri May 3 16:52:50 2013
* Standard : ANSI C * Standard : ANSI C
THIS FILE WAS GENERATED BY wbgen2 FROM SOURCE FILE utc_core_regs.wb THIS FILE WAS GENERATED BY wbgen2 FROM SOURCE FILE timetag_core_regs.wb
DO NOT HAND-EDIT UNLESS IT'S ABSOLUTELY NECESSARY! DO NOT HAND-EDIT UNLESS IT'S ABSOLUTELY NECESSARY!
*/ */
#ifndef __WBGEN2_REGDEFS_UTC_CORE_REGS_WB #ifndef __WBGEN2_REGDEFS_TIMETAG_CORE_REGS_WB
#define __WBGEN2_REGDEFS_UTC_CORE_REGS_WB #define __WBGEN2_REGDEFS_TIMETAG_CORE_REGS_WB
#include <inttypes.h> #include <inttypes.h>
...@@ -31,13 +31,13 @@ ...@@ -31,13 +31,13 @@
#endif #endif
/* definitions for register: UTC seconds register */ /* definitions for register: Timetag seconds register */
/* definitions for register: UTC coarse time register, system clock ticks (125MHz) */ /* definitions for register: Timetag coarse time register, system clock ticks (125MHz) */
/* definitions for register: Trigger time-tag metadata register */ /* definitions for register: Trigger time-tag metadata register */
/* definitions for register: Trigger time-tag UTC seconds register */ /* definitions for register: Trigger time-tag seconds register */
/* definitions for register: Trigger time-tag coarse time (system clock ticks 125MHz) register */ /* definitions for register: Trigger time-tag coarse time (system clock ticks 125MHz) register */
...@@ -45,7 +45,7 @@ ...@@ -45,7 +45,7 @@
/* definitions for register: Acquisition start time-tag metadata register */ /* definitions for register: Acquisition start time-tag metadata register */
/* definitions for register: Acquisition start time-tag UTC seconds register */ /* definitions for register: Acquisition start time-tag seconds register */
/* definitions for register: Acquisition start time-tag coarse time (system clock ticks 125MHz) register */ /* definitions for register: Acquisition start time-tag coarse time (system clock ticks 125MHz) register */
...@@ -53,7 +53,7 @@ ...@@ -53,7 +53,7 @@
/* definitions for register: Acquisition stop time-tag metadata register */ /* definitions for register: Acquisition stop time-tag metadata register */
/* definitions for register: Acquisition stop time-tag UTC seconds register */ /* definitions for register: Acquisition stop time-tag seconds register */
/* definitions for register: Acquisition stop time-tag coarse time (system clock ticks 125MHz) register */ /* definitions for register: Acquisition stop time-tag coarse time (system clock ticks 125MHz) register */
...@@ -61,20 +61,20 @@ ...@@ -61,20 +61,20 @@
/* definitions for register: Acquisition end time-tag metadata register */ /* definitions for register: Acquisition end time-tag metadata register */
/* definitions for register: Acquisition end time-tag UTC seconds register */ /* definitions for register: Acquisition end time-tag seconds register */
/* definitions for register: Acquisition end time-tag coarse time (system clock ticks 125MHz) register */ /* definitions for register: Acquisition end time-tag coarse time (system clock ticks 125MHz) register */
/* definitions for register: Acquisition end time-tag fine time register, always 0 (used for time-tag format compatibility) */ /* definitions for register: Acquisition end time-tag fine time register, always 0 (used for time-tag format compatibility) */
PACKED struct UTC_CORE_WB { PACKED struct TIMETAG_CORE_WB {
/* [0x0]: REG UTC seconds register */ /* [0x0]: REG Timetag seconds register */
uint32_t SECONDS; uint32_t SECONDS;
/* [0x4]: REG UTC coarse time register, system clock ticks (125MHz) */ /* [0x4]: REG Timetag coarse time register, system clock ticks (125MHz) */
uint32_t COARSE; uint32_t COARSE;
/* [0x8]: REG Trigger time-tag metadata register */ /* [0x8]: REG Trigger time-tag metadata register */
uint32_t TRIG_TAG_META; uint32_t TRIG_TAG_META;
/* [0xc]: REG Trigger time-tag UTC seconds register */ /* [0xc]: REG Trigger time-tag seconds register */
uint32_t TRIG_TAG_SECONDS; uint32_t TRIG_TAG_SECONDS;
/* [0x10]: REG Trigger time-tag coarse time (system clock ticks 125MHz) register */ /* [0x10]: REG Trigger time-tag coarse time (system clock ticks 125MHz) register */
uint32_t TRIG_TAG_COARSE; uint32_t TRIG_TAG_COARSE;
...@@ -82,7 +82,7 @@ PACKED struct UTC_CORE_WB { ...@@ -82,7 +82,7 @@ PACKED struct UTC_CORE_WB {
uint32_t TRIG_TAG_FINE; uint32_t TRIG_TAG_FINE;
/* [0x18]: REG Acquisition start time-tag metadata register */ /* [0x18]: REG Acquisition start time-tag metadata register */
uint32_t ACQ_START_TAG_META; uint32_t ACQ_START_TAG_META;
/* [0x1c]: REG Acquisition start time-tag UTC seconds register */ /* [0x1c]: REG Acquisition start time-tag seconds register */
uint32_t ACQ_START_TAG_SECONDS; uint32_t ACQ_START_TAG_SECONDS;
/* [0x20]: REG Acquisition start time-tag coarse time (system clock ticks 125MHz) register */ /* [0x20]: REG Acquisition start time-tag coarse time (system clock ticks 125MHz) register */
uint32_t ACQ_START_TAG_COARSE; uint32_t ACQ_START_TAG_COARSE;
...@@ -90,7 +90,7 @@ PACKED struct UTC_CORE_WB { ...@@ -90,7 +90,7 @@ PACKED struct UTC_CORE_WB {
uint32_t ACQ_START_TAG_FINE; uint32_t ACQ_START_TAG_FINE;
/* [0x28]: REG Acquisition stop time-tag metadata register */ /* [0x28]: REG Acquisition stop time-tag metadata register */
uint32_t ACQ_STOP_TAG_META; uint32_t ACQ_STOP_TAG_META;
/* [0x2c]: REG Acquisition stop time-tag UTC seconds register */ /* [0x2c]: REG Acquisition stop time-tag seconds register */
uint32_t ACQ_STOP_TAG_SECONDS; uint32_t ACQ_STOP_TAG_SECONDS;
/* [0x30]: REG Acquisition stop time-tag coarse time (system clock ticks 125MHz) register */ /* [0x30]: REG Acquisition stop time-tag coarse time (system clock ticks 125MHz) register */
uint32_t ACQ_STOP_TAG_COARSE; uint32_t ACQ_STOP_TAG_COARSE;
...@@ -98,7 +98,7 @@ PACKED struct UTC_CORE_WB { ...@@ -98,7 +98,7 @@ PACKED struct UTC_CORE_WB {
uint32_t ACQ_STOP_TAG_FINE; uint32_t ACQ_STOP_TAG_FINE;
/* [0x38]: REG Acquisition end time-tag metadata register */ /* [0x38]: REG Acquisition end time-tag metadata register */
uint32_t ACQ_END_TAG_META; uint32_t ACQ_END_TAG_META;
/* [0x3c]: REG Acquisition end time-tag UTC seconds register */ /* [0x3c]: REG Acquisition end time-tag seconds register */
uint32_t ACQ_END_TAG_SECONDS; uint32_t ACQ_END_TAG_SECONDS;
/* [0x40]: REG Acquisition end time-tag coarse time (system clock ticks 125MHz) register */ /* [0x40]: REG Acquisition end time-tag coarse time (system clock ticks 125MHz) register */
uint32_t ACQ_END_TAG_COARSE; uint32_t ACQ_END_TAG_COARSE;
......
This diff is collapsed.
peripheral { peripheral {
name = "UTC core registers"; name = "Time-tagging core registers";
description = "Wishbone slave for registers related to UTC core"; description = "Wishbone slave for registers related to time-tagging core";
hdl_entity = "utc_core_regs"; hdl_entity = "timetag_core_regs";
prefix = "utc_core"; prefix = "timetag_core";
reg { reg {
name = "UTC seconds register"; name = "Timetag seconds register";
description = "UTC seconds counter. Incremented everytime the UTC coarse counter overflows."; description = "Seconds counter. Incremented everytime the coarse counter overflows.";
prefix = "seconds"; prefix = "seconds";
field { field {
name = "UTC seconds"; name = "Timetag seconds";
type = SLV; type = SLV;
load = LOAD_EXT; load = LOAD_EXT;
size = 32; size = 32;
...@@ -21,12 +21,12 @@ peripheral { ...@@ -21,12 +21,12 @@ peripheral {
}; };
reg { reg {
name = "UTC coarse time register, system clock ticks (125MHz)"; name = "Timetag coarse time register, system clock ticks (125MHz)";
description = "UTC coarse time counter clocked by 125MHz system clock.\nCounts from 0 to 125000000."; description = "Coarse time counter clocked by 125MHz system clock.\nCounts from 0 to 125000000.";
prefix = "coarse"; prefix = "coarse";
field { field {
name = "UTC coarse time"; name = "Timetag coarse time";
type = SLV; type = SLV;
load = LOAD_EXT; load = LOAD_EXT;
size = 32; size = 32;
...@@ -50,12 +50,12 @@ peripheral { ...@@ -50,12 +50,12 @@ peripheral {
}; };
reg { reg {
name = "Trigger time-tag UTC seconds register"; name = "Trigger time-tag seconds register";
prefix = "trig_tag_seconds"; prefix = "trig_tag_seconds";
field { field {
name = "Trigger time-tag UTC seconds"; name = "Trigger time-tag seconds";
description = "Holds time-tag UTC seconds of the last trigger event"; description = "Holds time-tag seconds of the last trigger event";
type = SLV; type = SLV;
size = 32; size = 32;
access_bus = READ_ONLY; access_bus = READ_ONLY;
...@@ -106,12 +106,12 @@ peripheral { ...@@ -106,12 +106,12 @@ peripheral {
}; };
reg { reg {
name = "Acquisition start time-tag UTC seconds register"; name = "Acquisition start time-tag seconds register";
prefix = "acq_start_tag_seconds"; prefix = "acq_start_tag_seconds";
field { field {
name = "Acquisition start time-tag UTC seconds"; name = "Acquisition start time-tag seconds";
description = "Holds time-tag UTC seconds of the last acquisition start event"; description = "Holds time-tag seconds of the last acquisition start event";
type = SLV; type = SLV;
size = 32; size = 32;
access_bus = READ_ONLY; access_bus = READ_ONLY;
...@@ -162,12 +162,12 @@ peripheral { ...@@ -162,12 +162,12 @@ peripheral {
}; };
reg { reg {
name = "Acquisition stop time-tag UTC seconds register"; name = "Acquisition stop time-tag seconds register";
prefix = "acq_stop_tag_seconds"; prefix = "acq_stop_tag_seconds";
field { field {
name = "Acquisition stop time-tag UTC seconds"; name = "Acquisition stop time-tag seconds";
description = "Holds time-tag UTC seconds of the last acquisition stop event"; description = "Holds time-tag seconds of the last acquisition stop event";
type = SLV; type = SLV;
size = 32; size = 32;
access_bus = READ_ONLY; access_bus = READ_ONLY;
...@@ -218,12 +218,12 @@ peripheral { ...@@ -218,12 +218,12 @@ peripheral {
}; };
reg { reg {
name = "Acquisition end time-tag UTC seconds register"; name = "Acquisition end time-tag seconds register";
prefix = "acq_end_tag_seconds"; prefix = "acq_end_tag_seconds";
field { field {
name = "Acquisition end time-tag UTC seconds"; name = "Acquisition end time-tag seconds";
description = "Holds time-tag UTC seconds of the last acquisition end event"; description = "Holds time-tag seconds of the last acquisition end event";
type = SLV; type = SLV;
size = 32; size = 32;
access_bus = READ_ONLY; access_bus = READ_ONLY;
......
This diff is collapsed.
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment