Commit e8b874db authored by Tomasz Wlostowski's avatar Tomasz Wlostowski

wip

parent 0f5490f0
This diff is collapsed.
......@@ -266,6 +266,8 @@ architecture rtl of xvme64x_core is
signal b2b_aux_ga : std_logic_vector(5 downto 0);
signal b2b_aux_irq_n : std_logic_vector(7 downto 1);
signal b2b_aux_iackout_n : std_logic;
signal b2b_aux_iackin_n : std_logic;
signal b2b_aux_iack_n : std_logic;
-- List of supported AM.
constant c_AMCAP_ALLOWED : std_logic_vector(63 downto 0) :=
......@@ -399,7 +401,9 @@ begin
aux_valid_o => b2b_aux_valid,
aux_ga_o => b2b_aux_ga,
aux_irq_n_i => b2b_aux_irq_n,
aux_iackout_n_i => b2b_aux_iackout_n
aux_iackout_n_i => b2b_aux_iackout_n,
aux_iackin_n_o => b2b_aux_iackin_n,
aux_iack_n_o => b2b_aux_iack_n
);
end generate;
......@@ -436,30 +440,36 @@ begin
bridge_cpl_has_data_o => b2b_cpl_has_data,
bridge_cpl_dtack_n_o => b2b_cpl_dtack_n,
bridge_cpl_berr_o => b2b_cpl_berr,
bridge_aux_valid_i => b2b_aux_valid,
bridge_aux_iack_n_i => b2b_aux_iack_n,
bridge_aux_iackin_n_i => b2b_aux_iackin_n,
bridge_aux_iackout_n_o => b2b_aux_iackout_n,
-- VME
vme_as_n_i => s_vme_as_n,
vme_lword_n_o => vme_o.lword_n,
vme_lword_n_i => vme_i.lword_n,
vme_retry_n_o => vme_o.retry_n,
vme_retry_oe_o => vme_o.retry_oe,
vme_write_n_i => s_vme_write_n,
vme_ds_n_i => s_vme_ds_n,
vme_dtack_n_o => vme_o.dtack_n,
vme_dtack_oe_o => vme_o.dtack_oe,
vme_berr_n_o => s_vme_berr_n,
vme_addr_i => vme_i.addr,
vme_addr_o => vme_o.addr,
vme_addr_dir_o => vme_o.addr_dir,
vme_addr_oe_n_o => vme_o.addr_oe_n,
vme_data_i => vme_i.data,
vme_data_o => vme_o.data,
vme_data_dir_o => vme_o.data_dir,
vme_data_oe_n_o => vme_o.data_oe_n,
vme_am_i => vme_i.am,
vme_iackin_n_i => s_vme_iackin_n,
vme_iack_n_i => s_vme_iack_n,
vme_iackout_n_o => vme_o.iackout_n,
-- vme_as_n_i => s_vme_as_n,
-- vme_lword_n_o => vme_o.lword_n,
-- vme_lword_n_i => vme_i.lword_n,
-- vme_retry_n_o => vme_o.retry_n,
-- vme_retry_oe_o => vme_o.retry_oe,
-- vme_write_n_i => s_vme_write_n,
-- vme_ds_n_i => s_vme_ds_n,
-- vme_dtack_n_o => vme_o.dtack_n,
-- vme_dtack_oe_o => vme_o.dtack_oe,
-- vme_berr_n_o => s_vme_berr_n,
-- vme_addr_i => vme_i.addr,
-- vme_addr_o => vme_o.addr,
-- vme_addr_dir_o => vme_o.addr_dir,
-- vme_addr_oe_n_o => vme_o.addr_oe_n,
-- vme_data_i => vme_i.data,
-- vme_data_o => vme_o.data,
-- vme_data_dir_o => vme_o.data_dir,
-- vme_data_oe_n_o => vme_o.data_oe_n,
-- vme_am_i => vme_i.am,
-- vme_iackin_n_i => s_vme_iackin_n,
-- vme_iack_n_i => s_vme_iack_n,
-- vme_iackout_n_o => vme_o.iackout_n,
-- WB signals
wb_stb_o => wb_o.stb,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment