• Lucas Russo's avatar
    modules/*/fmc_adc_clk.vhd: add MMCM_LOCKED delay/synchronizer · c5483d49
    Lucas Russo authored
    Now, on asserting LOCKED by MMCM, we synchronize it
    to the destination clock domain and waits until
    the LOCK signal has stabilized for a few clock
    cycles.
    
    In this way we can safely use the mmcm_adc_locked
    signal as a reset to downstream logic.
    c5483d49
fmc_adc_clk.vhd 21.8 KB