• Lucas Russo's avatar
    modules/*/fmc_adc_clk.vhd: add MMCM_LOCKED delay/synchronizer · c5483d49
    Lucas Russo authored
    Now, on asserting LOCKED by MMCM, we synchronize it
    to the destination clock domain and waits until
    the LOCK signal has stabilized for a few clock
    cycles.
    
    In this way we can safely use the mmcm_adc_locked
    signal as a reset to downstream logic.
    c5483d49
Name
Last commit
Last update
..
Manifest.py Loading commit data...
fmc_adc_buf.vhd Loading commit data...
fmc_adc_clk.vhd Loading commit data...
fmc_adc_data.vhd Loading commit data...
fmc_adc_dly_iface.vhd Loading commit data...
fmc_adc_iface.old.vhd Loading commit data...
fmc_adc_iface.vhd Loading commit data...
fmc_adc_pkg.vhd Loading commit data...
fmc_adc_private_pkg.vhd Loading commit data...
fmc_adc_sync_chains.vhd Loading commit data...