1. 20 Jun, 2017 2 commits
  2. 19 Jun, 2017 5 commits
  3. 15 Jun, 2017 2 commits
  4. 14 Jun, 2017 1 commit
  5. 13 Jun, 2017 2 commits
  6. 12 Jun, 2017 3 commits
  7. 09 Jun, 2017 2 commits
  8. 08 Jun, 2017 1 commit
  9. 07 Jun, 2017 3 commits
    • Lucas Russo's avatar
      modules/*/wb_acq_core/*: clip BTT value to max allowed · fc6f4f5b
      Lucas Russo authored
      Previously we were relying on the calculated
      full BTT value to be always '1' at the LSBs,
      but there are cases in which this is not true:
      1<0000000>. This would be cropped to 0, but
      instead we would want the clip to the maximum BTT
      value.
      fc6f4f5b
    • Lucas Russo's avatar
      modules/*/wb_acq_core/*: fix BTT counter not reseting to maximum · 15be32c0
      Lucas Russo authored
      On long running acquisitions, BTT was not
      reseting to the maximum permitted to the
      memory region, so only the first pass would
      succeed.
      15be32c0
    • Lucas Russo's avatar
      modules/*/wb_acq_core/*: fix possible AXI mem region overflow · 4b408dc3
      Lucas Russo authored
      Previously, we were always setting the BTT as
      2^22. If we needed to finish early, we just ended
      the transaction with TLAST.
      
      This posed a problem for long-running acquisition
      (triggered), in that the AXI datamover would
      continue to write even after the memory region,
      because the BTT was set to 2^22.
      
      Now, we always set it to the maximum of the memory
      region.
      4b408dc3
  10. 06 Jun, 2017 4 commits
  11. 05 Jun, 2017 1 commit
  12. 26 May, 2017 4 commits
  13. 25 May, 2017 1 commit
  14. 17 May, 2017 1 commit
  15. 10 May, 2017 1 commit
  16. 27 Apr, 2017 1 commit
  17. 26 Apr, 2017 1 commit
  18. 19 Apr, 2017 1 commit
  19. 06 Apr, 2017 3 commits
  20. 30 Mar, 2017 1 commit
    • Lucas Russo's avatar
      modules/*/*trigger_iface: fix bogus receiving pulse event when transmitting · 16a04632
      Lucas Russo authored
      IOBUF primitives always have the "input"
      signal available for further use. This means
      that even when transmitting signals (buffer
      is output) the input signal will have a copy
      of the transmitted pulse.
      
      This is principle is not a problem, but we
      were using this signal to count received events,
      so we changed this to use this signal only if
      the buffer direction is set to input.
      16a04632