1. 31 Oct, 2017 1 commit
  2. 30 Oct, 2017 2 commits
  3. 11 Sep, 2017 1 commit
  4. 30 Aug, 2017 1 commit
  5. 28 Aug, 2017 3 commits
  6. 25 Aug, 2017 3 commits
  7. 23 Aug, 2017 1 commit
  8. 22 Aug, 2017 1 commit
  9. 08 Aug, 2017 1 commit
  10. 07 Aug, 2017 3 commits
  11. 03 Aug, 2017 1 commit
  12. 02 Aug, 2017 3 commits
  13. 24 Jul, 2017 2 commits
  14. 21 Jul, 2017 6 commits
  15. 20 Jul, 2017 1 commit
    • Lucas Russo's avatar
      modules/*/fmc_adc_clk.vhd: add MMCM_LOCKED delay/synchronizer · c5483d49
      Lucas Russo authored
      Now, on asserting LOCKED by MMCM, we synchronize it
      to the destination clock domain and waits until
      the LOCK signal has stabilized for a few clock
      cycles.
      
      In this way we can safely use the mmcm_adc_locked
      signal as a reset to downstream logic.
      c5483d49
  16. 18 Jul, 2017 1 commit
  17. 12 Jul, 2017 2 commits
  18. 10 Jul, 2017 2 commits
  19. 04 Jul, 2017 1 commit
  20. 27 Jun, 2017 2 commits
  21. 22 Jun, 2017 1 commit
  22. 20 Jun, 2017 1 commit