1. 22 Nov, 2016 1 commit
  2. 21 Nov, 2016 2 commits
  3. 17 Nov, 2016 8 commits
  4. 16 Nov, 2016 6 commits
  5. 14 Nov, 2016 3 commits
  6. 08 Nov, 2016 1 commit
  7. 03 Nov, 2016 2 commits
  8. 28 Oct, 2016 1 commit
  9. 27 Oct, 2016 1 commit
    • Maciej Lipinski's avatar
      added module containing all the platform-dependent stuff needed for WRPC · 12df4287
      Maciej Lipinski authored
      - this new module contains the PLLs, buffers, PHY and DAC arbiter that
        would be usually copied in the top_level of each design
      - it was created to make integration of WRPC in user's design easier and
        cleaner
      - it is expected to be extended with more families in which case, only
        the PHY is expected to change
      - similar module will be created for Altera
      12df4287
  10. 26 Oct, 2016 4 commits
  11. 30 Aug, 2016 2 commits
  12. 26 Aug, 2016 1 commit
  13. 24 Aug, 2016 1 commit
  14. 19 Aug, 2016 4 commits
  15. 17 Aug, 2016 1 commit
  16. 27 Jul, 2016 2 commits
    • Maciej Lipinski's avatar
      [wr streamers] implemented snapshot of the statistis · cab82fab
      Maciej Lipinski authored
      it was hard to get fully coherent statistics, especially if they were changing
      fast (e.g. 250kHz in btrain). Setting snapshot bit to high copies at the same
      instant all the counters to separate registers. as long as snapshot bit is high,
      these registers are exposed to WB and SNMP. so one can read it as long as it
      takes and the data is still coherent.
      cab82fab
    • Maciej Lipinski's avatar
      [wr streamers] generate reset timestamp pulse on both edges of reset input · d5a58554
      Maciej Lipinski authored
      this can be useful when reseting remotely and wanting to make statistics. in
      such case the SNMP server
      1. reads the reset timestamp
      2. sets reset high
      3. reads the timestamp of the reset
      4. sets rest low, new timestamp is done to have exactly the time of start of
         stats
      d5a58554