1. 11 Oct, 2018 1 commit
    • Maciej Lipinski's avatar
      [timing/pulse_stamper] added generic to provide the ref_clk rate · aebe750e
      Maciej Lipinski authored
      The generic g_ref_clk_rate was dummy, i.e. never used. The module
      pulse_stamper is used with input reference clock (and tm_cycles_i)
      of 125MHz and 62.5MHz clock, in the wr_streamers. Added possibility
      to define what clock is used (default 125MHz or 62.5MHz). In any
      case, the output timestamp is of cycle period of 8ns.
      aebe750e
  2. 09 Oct, 2018 4 commits
  3. 18 Dec, 2017 3 commits
  4. 15 Dec, 2017 3 commits
  5. 14 Dec, 2017 3 commits
  6. 13 Dec, 2017 17 commits
  7. 12 Dec, 2017 1 commit
  8. 11 Dec, 2017 1 commit
    • Maciej Lipinski's avatar
      [wr_streamers] bugfix: 0xCAFE as last word caused streamers to hang · 639129fb
      Maciej Lipinski authored
      Fixed by adding missing "else statement" in CRC_WORD state. In this
      statement the dvalid is set LOW and no ('X') data is set. Without
      this, the input data remainded 0xCAFE and the input dvalid remainded
      HIGH, thus the escape_inserter was forcing dreq=LOW in order to
      stop the input data for one cycle and insert special character.
      639129fb
  9. 08 Dec, 2017 2 commits
  10. 06 Dec, 2017 1 commit
  11. 04 Dec, 2017 4 commits