1. 11 Nov, 2016 7 commits
  2. 09 Nov, 2016 1 commit
    • Lucas Russo's avatar
      wb_fmc250m_4ch,wb_fmc130m_4ch: fix unconnected pll_status signal · 28d21f3a
      Lucas Russo authored
      This signal was being used as input into a Wishbone
      register, as as a loopback to top design (so one
      can probe this signal, if desired). However, on
      refactoring the active_clk module, the loopback
      signal fmc_pll_status_o was being driven by 2
      nets: the loopback in the outer FMC module,
      the internal loopback inside the active_clk
      module.
      
      This made the synthesis tool confused and
      optimized away the Wishbone register signal.
      
      This fixes #66 github issue.
      28d21f3a
  3. 03 Nov, 2016 1 commit
  4. 10 Oct, 2016 2 commits
  5. 07 Oct, 2016 4 commits
  6. 06 Oct, 2016 3 commits
  7. 30 Sep, 2016 1 commit
  8. 29 Sep, 2016 1 commit
  9. 28 Sep, 2016 10 commits
  10. 26 Sep, 2016 2 commits
  11. 25 Sep, 2016 2 commits
  12. 24 Sep, 2016 1 commit
  13. 23 Sep, 2016 3 commits
  14. 21 Sep, 2016 1 commit
  15. 20 Sep, 2016 1 commit