1. 29 Apr, 2013 1 commit
    • egousiou's avatar
      added sdb; · 91806588
      egousiou authored
      added carrier_csr;
      restructuring with creation of fmc_tdc_core;
      data_formatting unit debugged marginal cases of timestamps
      
      git-svn-id: http://svn.ohwr.org/fmc-tdc@91 85dfdc96-de2c-444c-878d-45b388be74a9
      91806588
  2. 01 Oct, 2012 2 commits
  3. 14 Sep, 2012 1 commit
  4. 05 Sep, 2012 2 commits
  5. 27 Aug, 2012 1 commit
  6. 08 Aug, 2012 3 commits
  7. 21 Jun, 2012 2 commits
  8. 20 Jun, 2012 1 commit
  9. 14 Jun, 2012 1 commit
  10. 11 Jun, 2012 1 commit
  11. 18 May, 2012 1 commit
    • egousiou's avatar
      I) Use of latest gn4124_core version (with pipelined CSR) · 14637348
      egousiou authored
      II) Use of wb_addr_decoder.vhd
      III) Added I2C for mezzanine, 1-wire for mezzanine and 1-wire for carrier 
      IV) Implemented irq_generator.vhd
      V) Use of irq_controller.vhd
      VI) clks_rsts_manager.vhd: Changed the internal reset generation; added DFFs to pll_sdi_o, pll_cs_o outputs
      VII) General revamping, comments added, units and signals renamed
      
      git-svn-id: http://svn.ohwr.org/fmc-tdc@75 85dfdc96-de2c-444c-878d-45b388be74a9
      14637348
  12. 16 Nov, 2011 3 commits
  13. 11 Nov, 2011 5 commits
  14. 08 Nov, 2011 7 commits
  15. 01 Nov, 2011 1 commit
  16. 24 Oct, 2011 2 commits
  17. 20 Oct, 2011 1 commit
  18. 18 Oct, 2011 3 commits
  19. 11 Oct, 2011 1 commit
  20. 06 Oct, 2011 1 commit